Omron Automation and Safety - CPM2C-16EDC

KEY Part #: K7084554

CPM2C-16EDC Prissætning (USD) [232stk Lager]

  • 1 pcs$183.76512

Varenummer:
CPM2C-16EDC
Fabrikant:
Omron Automation and Safety
Detaljeret beskrivelse:
INPUT MODULE 16 DIGITAL 24V. Controllers 16 POINT In EXPANSION DC
Producentens standard ledetid:
På lager
Opbevaringstid:
Et år
Chip fra:
Hong Kong
RoHS:
Betalingsmetode:
Forsendelsesmåde:
Familiekategorier:
KEY Components Co., LTD er en distributør af elektroniske komponenter, der tilbyder produktkategorier inklusive: Controllers - Programmerbar Logic (PLC), Panelmåler, Human Machine Interface (HMI) - Tilbehør, Industrielt udstyr, Maskinsikkerhed - Lysgardiner, Time Delay Relays, Specialized and Controllers - Process, Temperatur ...
Konkurrencefordel:
Vi er specialiserede i Omron Automation and Safety CPM2C-16EDC elektroniske komponenter. CPM2C-16EDC kan sendes inden for 24 timer efter bestilling. Hvis du har krav til CPM2C-16EDC, bedes du indsende en anmodning om tilbud her eller send os en e-mail: rfq@key-components.com
GB-T-27922
ISO-9001-2015
ISO-13485
ISO-14001
ISO-28000-2007
ISO-45001-2018

CPM2C-16EDC Produktegenskaber

Varenummer : CPM2C-16EDC
Fabrikant : Omron Automation and Safety
Beskrivelse : INPUT MODULE 16 DIGITAL 24V
Serie : CPM2C
Del Status : Active
Type : Input Module
Til brug med / relaterede produkter : CPM2C Series
Antal indgange og type : 16 - Digital
Antal udgange og type : -
Spænding - Supply : 24VDC
Monteringstype : DIN Rail
Afslutningsstil : Rectangular Connector
Funktioner : -

Du kan også være interesseret i
  • CSPID2TM

    Red Lion Controls

    PROCESS CTRL MOD 3 ANALOG 4 SS.

  • CSPID1SM

    Red Lion Controls

    PROCESS CTRL MOD 2 ANALOG 3 SS.

  • CSPID1SA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 4 ANALOG.

  • CSPID1TA

    Red Lion Controls

    PROC CTRL MOD 1 ANALOG 3 ANALOG.

  • CSPID1R0

    Red Lion Controls

    PROCESS CTRL MOD 1 ANALOG 2 REL.

  • 2901537

    Phoenix Contact

    INPUT MODULE 4 ANALOG. I/O Modules 4 Channel Analog input IO module